WRITE VHDL CODE TO REALIZE ALL THE LOGIC GATES

A logic gate is an electronic circuit/device which makes the logical decisions alternatively a logic gate performs a logical operation on one or more logic inputs and produces a single logic output. The logic normally performed is Boolean logic and is most commonly found in digital circuits. Logic gates are primarily implemented using diodes or transistors.

 

The logic gates are broadly classified into 3 types:

Basic gates: AND, OR, NOT / INVERTER

Universal gates: NAND, NOR

Special gates: XOR, XNOR

 

 

library IEEE;

use IEEE.STD_LOGIC_1164.ALL;

use IEEE.STD_LOGIC_ARITH.ALL;

use IEEE.STD_LOGIC_UNSIGNED.ALL;

 

entity gates is

Port ( Ain : in std_logic;  

Bin : in std_logic;

Op_not : out std_logic;

Op_or : out std_logic;

Op_and : out std_logic;

Op_nor : out std_logic;

Op_nand : out std_logic;

Op_xor : out std_logic;

Op_xnor : out std_logic);

end gates;

 

 

architecture Behavioral of gates is

begin

Op_not <= not Ain;

Op_or <= Ain or Bin;

Op_and <= Ain and Bin;

Op_nor <= Ain nor Bin;

Op_nand <= Ain nand Bin;

Op_xor <= Ain xor Bin;

Op_xnor <= Ain xnor Bin;

end Behavioral;